Search This Blog

Friday, November 30, 2012

Developments Continue in OPV

Despite the dominance of crystalline silicon products in the market today, significant commercial and research milestones continue to be reached by organic photovoltaics (OPV). Currently, OPV does not offer the efficiency levels or lifetime assurances of crystalline silicon, but OPVs can offer unique form factor advantages, low capital expenditure requirements and low cost roll-to-roll production. At the SEMI Plastic Electronics Conference, held in Dresden Germany from October 9-11, three days of technical and business presentations dedicated to OPV reviewed the status of OPV and the near and long term expectations for the technology.


A highlight of the OPV track at the conference was a keynote presentation by Thibaud Le Séguillon, CEO of Heliatek. The company was founded in 2006 out of two leading universities in Germany and this announced the world’s leading OPV efficiency of 10.7 % and successfully demonstrated R2R production in Dresden. Heliatek is backed by backed by industry (Bosch, BASF, RWE) venture capital (Wellington Partners) and has received €10 M in public funding. The company is in the process of ramping up commercial production with 75MWp capacity and expected to begin a second R2R fab in January 2013.

Heliatek is focused on four key competencies: materials development, stack architecture, roll-to-roll production, and OPV business development. The company’s business development strategy is to provide energy harvesting films for a variety of end markets, not in rooftop panels. The near-term applications are for “energy to go” applications up to 2-3MW, including automotive roofs, but by 2015 they plan to fully exploit the building integrated PV market (BIPV) providing films to glass, cement, polycarbonate, membranes and other building materials. They are targeting markets that need to unique form-factor of flexible films including ease of integration, aesthetics, superior energy harvesting and truly disposable green qualities. A key differentiator for Heliatek OPV—critical to automotive and architectural applications-- is transparency. Today, they achieve 6.5% at 30% light transmission with a near-term goal of 7% at 30% light transmission, then 50%.

The company utilizes small molecule organics—oligomers—rather than polymers to achieve better efficiency, lifetime performance and production reliability. Oligomers do not require solvents in production, enables the preparation of optimized multilayer tandem cells, and avoids interface degradation by adding dedicated (doped) interlayers. The product utilizes a 250 nm stack with absorber layers that requires an amazing 1 gram of material for every square meter. Their Gen 8 production line (2.2M width) has a throughout of one meter per minute.



Le Séguillon confidently explained that only a small shift in spectra (50-100nm) will enable 13% efficiencies. He sees a clear path to 15% efficiencies. The company claims superior energy harvesting, up to 25% higher yield over traditional PV. At low light, Heliatek claims 15-25% better efficiency than other PV technologies and no loss of efficiencies at low temperatures.

Heliatek’s foil-encapsulated solar films withstand lifetime tests well above industry standard PV limits Using IEC testing methods, Heliatek OPV provides excellent lifetime rating, proving > 95 % efficiency after 1,800 hrs both in light soaking test and at 85 °C. Extrapolated light soaking lifetime T80: 13,600 hrs. (approx. 24 yrs.).

The product is manufactured on roll to roll pilot lime using 30 cm wide PET-substrate using linear sources with low temperature vacuum deposition. Laser scribing is used for P1, P2 and P3 structuring. One vacuum rollcoater integrates organic multilayer deposition, metal deposition, P2, P3 and first encapsulation.

3-Day OPV Agenda

The SEMI Plastics Electronics Conference featured morning plenary sessions with 3-afternoon and morning tracks on OPV, Displays and Lighting, and Integrated Systems. Over 15 presentations were given on OPV organized into technology, business case, and manufacturing. In addition to sessions on current and near-term commercialization from Heliatek, Merck Chemicals, Mitsubishi Chemical, Eight19, and Isovoltaic, the latest research on OPV was presented by CEA LITEN, Holst Centre, VTT, and many others.

European Consortia, ASML, Supplier Network Plan for 450mm Transition

European government representatives, consortia and suppliers discussed programs to support and participate in the anticipated conversion of leading edge wafer manufacturing to 450mm wafers at SEMICON Europa in Dresden. Possibly signaling a major change in the 450mm planning framework, representatives from G450C, imec, Fraunhofer IISB, and others discussed mechanisms for greater European participation, and emerging research initiatives, related to 450mm development. In addition, in probably the first major public discussion of the 450mm lithography system schedules following investments from TCMC, Intel and Samsung last summer, ASML provided a comprehensive presentation on their roadmap for 450mm EUV platforms.

Michael Liehr, newly promoted to Executive Vice President of Innovation and Technology at the College of Nanoscale Science and Engineering (former IBM veteran, Paul Ferrar has been appointed General Manager, Vice President and coordinator of G450C project), provided an update on consortium’s pilot fab, tool roadmap, wafer development, and wafer availability plans. Newly announced at Europa was G450C’s welcome of global collaboration efforts with regional consortia and government agencies. As a new consortium on the crowded stage of collaborative research development, G450C’s working relationship with other consortia in the industry has been an ongoing question for manufacturers, suppliers, and key stakeholders. G450C’s role in prequalifying tools for 450 wafer manufacturing places them in a powerful, central role in the future of the industry. With the industry consolidating and global R&D dollars needing increasing focus and efficiency, the roles, core competencies, and optimal distribution of research initiatives across the world is undergoing significant transformation.

“We’re looking forward to working with any regional organizations including wafer pool and adjunct tool demos,” said Liehr during the session. While G450C priority remains on the specific program deliverables, Liehr spoke to the need to recognize and value the contributions of other players in global industry. He said that G450C selection, validation, evaluation of projects would proceed with criteria emphasizing technical and commercial transition value and that “G450C understands that public funding must be applied within the taxpayer region.”

Liehr’s comments were welcome news to European consortia with a high stake in the semiconductor industry. The Fraunhofer-Gesellschaft network in Germany, for example, has 60 institutes, more than 1800 employees and an annual research volume of 1.65 billion euros (2010), of which 1.4 billion euros is generated through contract research. Lothan Pfitzner, head of department at Fraunhofer IISB provided an overview of his organization’s existing and planned activities in 450mm manufacturing process development. Pfitzner said Fraunhofer Group will support 450 mm R&D&I activities based upon their strong expertise and experience in equipment assessment, manufacturing science (e. g. process control, automation, wafer handling, etc.), flying wafer concepts, green aspects, modeling and testing.

For reasons of cost optimization, Fraunhofer is also willing to reclaim 450 mm wafers. In addition, Fraunhofer hopes to contribute in the area BEOL and of TSV in optimization of processes as well as in the area of metrology and defect detection and characterization. Part of these activities will take place at the Fraunhofer IISB Erlangen facilities.

Fraunhofer plays a key role in many current and planned public-funded research efforts related to 450mm manufacturing. The ENIAC EEMI450 is currently developing and evaluating wafer material, metrology tools, process equipment, and handling systems. The CATRENE NGC450 program is providing analysis and optimization of cluster platform performance. Planned programs under Framework 7 include SEA450 involving equipment assessment of cleaning and hot processing equipment and corresponding metrology tools. Another planned program is ENIAC 450EDL project involving virtual metrology and predictive maintenance models for 450 mm metrology tools.

Imec, headquartered in Leuven, Belgium, has nearly 2000 researchers including more than 600 industry residents and guests, is also planning a 450mm pilot line to begin construction in 2013 and scheduled for completion in 2015. Phase one of the program is anticipating funding from the Flemesh government, EU ENIAC FP7, and industry sources. Imec expects to play a key role in the acceleration of 450mm equipment development through installation of alpha and beta tools for early learning in an “industry-relevant technology flow.” The organization’s track record of support for advanced process and lithography development is expected to be a key factor in securing a strong role in 450mm manufacturing programs.

Other European initiatives include those by Israeli “Metro450” Consortium comprised of five key companies: AMIL (metrology division of Applied Materials), Nova, Jordan Valley, Nanomotion, and Intel. University support comes from four institutions and public funding comes from the Israeli Chief Scientist budget. The regional interest in 450mm manufacturing stems from Israel’s strong success in wafer fab metrology, now accounting for over 30% of world’s share and approximately $1.3 billion in sales. Menachim Shoval, Metro450 Board Chair, said the transition of current 300 mm metrology solutions to 450mm manufacturing is not trivial and threatens their current world position.

Other regional interests expressed during the forum include those from the state of Saxony in Germany. Silicon Saxony, the organization representing the interests of the substantial semiconductor cluster, has a vision that “By 2018, Silicon Saxony will be seen as a trendsetter for innovative semiconductor manufacturing technologies for 450mm and may plan to install its 1st 450mm manufacturing site in the Dresden region.”

The scale and diversity of interests in 450mm is impressive, but a realistic forecast for European pilot lines and public funding is hard to gauge. Currently G450C plans to qualify “one or two…maybe three” tools per process, limiting broad supplier participation in future 450mm manufacturing. European efforts to supplement the G450C qualifying process may help open the participation opportunities for other companies in 450mm manufacturing, especially for European companies hoping to benefit from public funding. But significant EU and country funding of 450mm R&D is not yet assured. With the current European debt crisis and emphasis on austerity, significant funding for semiconductor R&D may be limited. Furthermore, there remains significant debate over research priorities with many in the European semiconductor community, including leading European device makers, favoring R&D emphasis on “More than Moore” programs.

Opening up the qualifying process for 450mm high volume production tools to European consortia would seem to benefit 450mm device makers, tool makers and the overall industry move towards 450mm wafers. In addition to expanding the number of qualifying tools and public R&D funding sources, European consortia can be expected to link important process development efforts in 3-dimensional transistors, 3DIC and other areas with 450mm production requirements. Many of the current EEMI450 programs also feature unique approaches to metrology and material handling, adding an important “innovation” element to 450mm development efforts. At this stage of the 450mm transition, however, it is uncertain how likely G450C will move to open up their primary tool qualification role to organizations they may perceive as competitors. According to Lieher, “The same consolidation forces affecting device makers and suppliers are affecting R&D facilities. Specialization will need to be furthered so there is minimal overlap.”

ASML Begins 450mm Development

Another noteworthy discussion in the European 450mm session was ASML’s plans for large wafer lithography systems to support high volume 450mm production. ASML successfully negotiated billions of dollars in capital investment by Intel, TSMC and Samsung this summer to support accelerated development of extreme ultraviolet (EUV) systems for 450mm manufacturing. Until a 450mm EUV lithography system is developed, 450mm pilot line development will utilize nano imprint technology, a significant R&D limitation according to many observers.

In perhaps the first public discussion of the company’s 450mm plans, ASML’s Frank Bornebroek discussed the product strategy and technology challenges for 450mm EUV systems. He described how ASML will now simultaneously develop four tools on two platforms to accommodate 450mm production. He said the initial versions will provide 30 wafers per hour in 2016-2017, extended to 60 WPH for EUV in 2018-2019. For immersion systems, ASML is targeting 50 WPH in 2016 and high volume systems in 2018.

While the company is committed to the G450C schedule for high volume production, significant technical barriers will need to be overcome. “It’s not just a scale up, but significant overlay improvements will be required…overlay drives patterning” said Bornebroek. “The larger the wafer, the more difficult it will be to improve productivity. We will need to accelerate 3-times more mass at 2-times more accuracy.”

ASML is in process of hiring an additional 200 employees to meet the aggressive delivery goals. 450mm systems will require a “full base frame redesign” with major changes to chucks, mirror blocks, stages, tables and handlers, with adaptations to sensor and metrology systems. Bornebroek indicated that “450mm wafers will provide limited cost benefit for scanning systems.”

Wednesday, September 19, 2012

Delegation of SEMI Members Meet with DOE on Solid State Lighting Policy


A delegation of SEMI members and other stakeholders in LED manufacturing met with the U.S. Department of Energy (DOE) Assistant Secretary of Energy David Danielson and his senior staff in August to advocate for increased support for R&D and manufacturing in solid state lighting (SSL). The group based their arguments on the significantly higher return-on-investment (ROI) from government funding demonstrated by LED-based solid state lighting over renewable energy sources and other energy investments. Also emphasized was the strong bipartisan and bicameral support from both the U.S. House and Senate for solid state lighting, a fact under-appreciated in this era of austerity and reduced funding expectations.

Support for an appropriately–funded and effective U.S. LED manufacturing policy has much of its origins with the FALCON Lighting Consortium, a group of solid state lighting industry proponents led by Philips Lumileds, and SEMI members Applied Materials, Veeco, KLA-Tencor, Ultratech, and others. SEMI and FALCON have long advocated increased DOE support for developing solid state lighting in the U.S., particularly in the area of manufacturing, but also supportive of other solid state lighting related efforts. In addition to U.S.–based manufacturing, SEMI members in the U.S. are among the world leaders in supplying equipment and materials for LED manufacturing around the world.

U.S. LED manufacturing received over $23 million in grants in areas such as metrology, lithography, and deposition R&D as part of the American Recovery and Reinvestment Act in 2009. Since that time, funding has been reduced, but considerable bipartisan and bicameral support on Capitol Hill have successfully restored and increased funding levels above the requests put forward by the DOE to the Energy and Water Appropriations Subcommittees.

During the meeting, the group demonstrated that energy conservation afforded by solid state lighting will have greater impact in U.S. energy use than the renewable energy generation technologies being supported by current government investments. Based upon estimates by the independent energy information administration, DOE and others, solid state lighting can deliver 4.0-6.0 quads of annual energy savings for a 10-20 times higher ROI than other energy investment alternatives. A summary of the solid state lighting ROI argument is provided below:

During the meeting, the group urged greater balance for energy funding in the FY2013 and future budgets. Dr. Richard Solarz, senior director of Technology at KLA-Tencor, and Randy Moorhead, VP for government relations at Philips Electronics, co-leaders of the group advocating for greater DOE support for solid state lighting stated, “According to the Energy Information Administration, on a dollar-per-unit of-production basis, the level of subsidies received by the wind and solar industries were almost 100 times greater than those for conventional energy. We believe that it is generally understood that conventional energy conservation, specifically lighting, efforts are under supported.”

This is the first time in the past several years that an Assistant Secretary has agreed to meet with solid state lighting industry proponents to discuss this important issue. SEMI believes that the meeting will be a singular opportunity to secure support for Solid State Lighting beyond its funding levels of the past four years, this in spite of the clear anticipation of highly constrained national budgets in the coming years. Education efforts on the value and return of solid state lighting has consistently been conducted among key Committee members and staff in the U.S. House and Senate and support for increased DOE funding in this area have been voiced by both Democratic and Republican legislators. Despite the austerity mood in Washington, SEMI is confident that increased budget requests for LED-based lighting technologies will receive considerable bicameral and bipartisan support in the legislative branch during upcoming legislative sessions.

Tuesday, August 28, 2012

450mm Central: SEMI Introduces New Website for the Latest News on Wafer Transition


We’ve put together a new website to aggregate and disseminate useful information to help the semiconductor transition to 450mm wafer processing. The information service at www.semi.org/450 , will compile new product announcements, industry news, technology information, SEMI Standards updates, presentations, and other relevant information on 450mm wafer processing in one comprehensive website that is easily searchable.

The transition to 450mm wafer processing will be one of the most challenging and complex issues facing the $300+ billion semiconductor industry. Leading integrated device manufacturers, foundries, equipment and materials suppliers, and research consortia are beginning to invest heavily in the transition to 450mm wafer processing. Spending on 450mm R&D alone is estimated to range between $8 and $40 billion. Capital expenses for the first generation of high-volume manufacturing will probably exceed $25 billion as soon as 2016-2017. Leading equipment manufacturers, component and subsystem suppliers, technology providers and other SEMI members — plus leading chip manufacturers and industry consortia — have all expressed the need for greater communication and accurate information on 450 progress, plans and business opportunities.

Another one of the reasons for the site is the increasingly opinionated and bogus information on the 450mm transition currently out there. SEMI will work with our key members, IDMs, and industry to ensure accurate and timely information on 450mm developments. IF we get something wrong, we’ll fix it. If there is important information or views on 450mm, we’ll post it.

To provide new product, perspective or other information for consideration for inclusion on the 450mm Central site, please send an email (with Word doc and graphic attached) to 450editor@semi.org


Thursday, July 12, 2012

Exploring New Markets in Organic, Printed and Flexible Electronics


After years of development, the technology for making electronic circuits with organic materials, non-vacuum processes, and flexible substrates is beginning to make significant progress.  Leading the way are OLED displays where Merrill Lynch estimates the market will grow from US$ 4 billion in 2011 to $20B in 2015 and as high as $35 billion in 2017.  Samsung alone is expected to spend $5 billion a year on OLED capex annually for the next several years.  As the OLED display market develops, many of the new manufacturing solutions, technologies and materials that emerge may be applied to other flexible and organic electronic applications including OLED lighting, thin film logic and memory, thin film batteries, and organic PV.
SEMI is responding to member needs in this area with conferences and programs in most regions of the world.  In February, SEMI acquired the Plastic Electronics Conference (held in conjunction with SEMICON Europa) in Europe and has established a Plastic Electronics Special Interest Group with prestigious members from leading academic and industry organizations with active programs in organic, large area and flexible electronics. A full-day of programs to OLED and printed electronics will be held at SEMICON West (Thursday, July 12), including a workshop on Metal Oxide TFT Devices and Technology (presented by Flextech Alliance),  and separate track on OLED displays and roll-to-roll manufacturing displays has been developed for the Strategic Materials Conference on October 23-24, in San Jose.  SEMICON Japan will have technical programs on OLED displays and plastic electronics in December.
OLED displays are the pacesetter in this new category of microelectronics. Samsung Mobile Displays has started manufacturing active matrix OLED (AMOLED) displays in a Gen 5.5 fab, and both Samsung and LG Display have announced plans to build Gen 8 (2200X2500 mm) fabs, while several other suppliers including AUO, CMI, IRICO, Tianma, and BOE are expected to expand their manufacturing investments. Long-time Japanese rivals Sony and Panasonic have just announced plans to jointly develop OLED TVs, targeting low-cost, mass production next year.
OLED lighting shares many similarities with OLED displays, but will not reach high-volume markets for a few years.  However, significant investments are being made in OLED lighting in the US, Europe, Japan and Korea.  About 100 companies including OSRAM, Philips, Konica-Minolta and GE are working on OLED lighting, supported by materials and equipment from companies such as Aixtron, Dainippon Screen, BASF, DuPont, Dow, Merck, Sumitomo, Toyko Electron, Toyo, and Ulvac.
In other applications, companies such as Applied Materials are working on solid state thin film batteries that have exciting technical and performance advantages such as high cycle life, almost zero self-discharge rate, high power capability, and very thin form factor.  These performance achievements have enabled the possibility of power components that last the life of a system, conformal batteries that can be integrated into product designs, and perpetual energy modules that combine batteries with energy harvesters. 
Technical developments have also been made in fully printed non-volatile, rewritable memory for applications in toys & games, logistics, sensor, and ID systems.  In organic PV, the German company Heliatek recently recorded a 9.8% cell efficiency, and is rumored to announce 12% shortly.
These markets are in their early stages, but represent a significant large-scale opportunities for high-volume manufacturing supported by SEMI members.  The Plastic Electronics Conference, held in conjunction with SEMICON Europa, will concentrate on these developing mega-markets with three symposia — OLED Lighting and Displays, Flexible and Organic PV and Integrated Smart Systems.  Last year, over 600 attendees participated in conference dedicated to the large-scale, lab-to-fab potential in printed electronics.  We think this the world’s premier event for taking plastics electronics from the “lab to the fab.”
Beyond, conferences and events, SEMI anticipates helping these markets accelerate through international standards development.  While still in their early stages, many materials manufacturers are incurring high-costs through having to develop unique test methods and characterization specifications for each customer.  Technology roadmap activities, public funding of critical R&D, technical education and promotion of new technologies are other activities that SEMI will take on with member support and guidance.
For more information on how SEMI can help you explore opportunities to expand into organic, flexible or printed electronics markets--or reduce your costs in serving these markets through SEMI Standards—please contact me at tmorrow@semi.org
For more information, on upcoming SEMI events in plastic electronics, please visit
July 11-SEMICON West--FlexTech Alliance Presents: Metal Oxide TFT Devices and Technology  http://www.semiconwest.org/SessionsEvents/PlasticElectronics
July 12- SEMICON West-- Practical Plastic Electronics: Bringing Disruptive Flexible and Organic Materials into Volume Electronics Manufacturing http://www.semiconwest.org/SessionsEvents/PlasticElectronics
October 9-11-Plastics Electronics Conference and Exhibition (held in conjunction with SEMICON Europa), Dresden Germany. http://www.plastic-electronics.org/



Tuesday, January 24, 2012

Head and the Heart Served at ISS

When you’re in the event business, it’s easy to suffer from the euphoria affect: the tendency to over-rate the quality of an event based on the immediate relief that everything went as planned and nobody screwed up. In my position, its important to congratulate the staff for a job-well-done and be thankful for the valuable information you receive and opportunity to network with old and new friends--so all well-attended, reasonably-interesting events receive a generally positive appraisal. Nobody wants to nitpick or scrutinize the odd speaker choice in casual post event conversations. Its also easy for organizing committees to self-congratulate themselves on event quality because the hotel performs to expectations, speakers showed up, and no fatal errors were encountered. And of course, as an organizer, attendees and speakers seek you out and congratulate you on job well done and rarely complain about their hotel room thermostats or how they were disappointed in the crème brulie. Attendee surveys typically don’t help much; they are invariably positive (people are polite), inconclusive (people differ on speakers, topics, amenities) and infrequently point to obvious improvements.

Saying that, I think this year’s Industry Strategy Symposium was the best I’ve attended. The reason it was a great conference is primarily because these are uncertain times and ISS did a great job of framing that uncertainty for executive analysis and debate. I believe this was the Committee’s objective in organizing the conference and they had a lot to work with. Past conferences focused on the basic questions of chip market growth and capital spending with a smattering IDM and new technology stories. Today, uncertainties permeate technology challenges, the customer questions, and the economic outlook.

William Holt from Intel and Bernie Myerson from IBM did a great job in illustrating how scaling to the next node has become so complex. Enormous amount of science and process development is now required to fix all the problems produced by the next generation of nanoscale structures. FinFETS, III V materials, graphene, CNTs are all being used to address the secondary effects of scaling and the enormous R&D is for big boys only. John Chen from Nvidia showed data that demonstrated that scaling was becoming less economical and the engine of profitability that worked so well for leading edge chip manufacturers hasn’t been running so well. It was nice to hear the science guys confidently explain that “we’ve always solved unexpected problems” but I wonder if I was the only one who thought that the challenges are mounting in cost and complexity maybe the past won’t repeat itself.

Complicating the supplemental requirements to make next generation chips work, we have the great uncertainty of EUV itself. James Koonmen from ASML spoke directly to the issue of EUV availability, giving a roadmap of sorts that had the audience straining to understand the probabilities of EUV throughput improvement in 2013 and beyond. It may have been the first time I heard the word “industrialization” used in the equipment arena and thought, like India and Africa, sometimes the word “industrialization” means more hope than promise.
Market outlooks ranged from another modest grow year to “global economic collapse.” Duncan Meldrum from HIS and Robert Fry, senior economist at DuPont, saw a flat-single digit growth year ahead, but a promising 2013. Handle Jones saw 2012 more optimistically, but thought 2013 would be a downer. But what’s a little a softening in chip demand when David Townes, managing director of Needham & Company, says “we are past the tipping point in liabilities…the macro conditions for future real capital appreciation is dire.”

This picture of technical and economic uncertainty—this mosaic of incertitude—served as the perfect backdrop to the 450 mm discussions, and other points about the semiconductor industry structure. How we did things in the past are no longer a guide to how to we will progress in the future. Companies have to work together differently now. Negotiations will be complicated and delicate. Executives in our industry will have to make enormously important decisions on where to spend money, how to work with their customers and whether an exit strategy is the best option.

It is exactly in this environment--where the industry direction is not certain, when the right answers are not clear, and the strategic choices are so important-- where a strategic executive conference like ISS becomes most valuable. And in this environment I thought the critical data, informed analysis and key player participation were expertly constructed by the ISS program and Committee.

But heady strategic brain candy does make a great conference alone. I was thrilled to see so many attend the Zone 5 wine party on Tuesday night. It was a big time networking affair with serious conversations being conducted in an elegant space, lubricated with delightful liquids. And finally, a good helping of heart was also served at the gala dinner where Stan Myers was honored for his long, steady, invaluable service to the industry. Seeing James Morgan and Ken Levy speak of Stan and their many years of SEMI engagement and support reminded everyone of the powerful connections we all have to this great Information Age and how we follow in giants footsteps, enabling the future and making the world a better place.

New SEMI YouTube Channel

We've set up a SEMI YouTube Channel where we've featured some video interview from ISS.

Thursday, December 01, 2011

Gen 8 OLED Fabs Coming Soon

I’m starting to get very excited about OLED technology. Here’s why.

What is the forecast for OLED technology?

Merrill Lynch estimates OLED displays will grow from $4B in 2011 to $20B in 2015 and as high as $35B in 2017. Samsung alone is expected to spend $5B a year on OLED capex annually for the next several years. Only Samsung has announced a Gen 8 plants as of December 1, but most display manufacturers have pilot plants running in 2012. Others panel makers including AUO, CMI, LGD are expected to announce Gen 8-size fabs perhaps sometime in 2012 (for completion in 2013-2015). Japanese makers Sony, Toshiba and Hitachi are expected to announce plans in the coming year, and BOE of China announcing Gen 5.5 and Gen 8 plants for 2014. Given the speed with which LEDs backlighting penetrated the TV market, these Gen 8 OLED fabs could be accelerated. Some people are speculating that Apple iPad will be move to OLEDs (maybe 3D OLEDS) which could further accelerate the industry.

Why are OLED displays expected to be popular?

OLED displays are currently used in smartphones and will migrate to tablet and TVs once Gen 8 production gets established in 2013 and beyond. OLED displays are thinner, lighter, more energy efficient, offer better picture quality, and faster response times than LCD. Plastic substrates and flexible displays will replace glass for cost additional cost savings and feature benefits.

Who are the top suppliers?

According to the Merrill Lynch report, small cap suppliers in Korea are currently serving Samsung at the Gen 5.5 level. At larger sizes, Applied Materials, TEL, Dainippon Screen, and Ulvac are expected to play. Material companies include DuPont and Dow in the US, many suppliers from Japan including Ube Industries, Hodogaya Chemical, Toyo Ink, Idemitsu Kosan, Bando Chemical, and BASF and Merck from Europe.

What is SEMI doing to serve the OLED market?

Many SEMI members who serve the LCD market are also expected to serve the OLED industry. Currently 14 of the top 15 equipment TFT-LCD equipment suppliers are SEMI members. Some SEMI standards are expected to transfer to OLED operations. OLED technology and manufacturing information are key subjects in SEMI FPD exposition conferences (FPD China and Display Taiwan). OLED technology is critical foundation for our Organic Electronics Conference, held in conjunction with SEMICON Europa. OLEDs are also a popular conference topic at SEMICON Japan and SEMICON West. SEMI believes that many of the same processes, services and Standards operations that have helped scale the semiconductor industry will also be relevant to the emerging OLED industry.

Wednesday, November 23, 2011

2012: Year of SSL Standards

Next year will mark the most significant milestone in the development of the solid state lighting (SSL) industry with the emergence of critical standards in US, Europe, Japan and other key locations around the world. Important SEMI LED manufacturing standards will also go to ballot next year, but the SSL industry will remember 2012 as the year where key economies began to face the need for end-product standards, certifications, and consumer product labeling.

Beginning on January 1, consumer light bulbs will require the new The Lighting Facts label that has previously been a voluntary label offer by the DOE. The new labels will help educate consumers about lumens and steer purchasing decisions away from “watts” to more energy efficient choices. The label will include a light bulb's brightness (lumens), energy cost, life, light appearance (CCT) and wattage. CFLs will also carry a mercury disclosure. These changes are critical to consumer education, product testing and standard labeling necessary to accelerate SSL adoption with LED-based lighting.

Europe’s Ecodesign Directive requires energy efficiency labeling for lightbulbs, but does not address color quality (2012 will effectively ban all incandescent bulbs in the EU). LED products are expected to earn an A or A++ rating, separating them from CFLs. 2-3 lamp regulations are currently in the pipeline, but regulators are reportedly “struggling” with LEDs. Optical requirements based on luminaire output ratio rather than luminaire efficiency are expected as early as mid-2012. From participants in the ErP process, the philosophy of minimal performance requirements is expected to evolve into rigorous performance, color quality and useful-life standards that are intended to prevent sub-standard LED products from being sold in the EU. Specific testing and enforcement issues have yet to be addressed.

In Japan, LED lamps will be subject to the Electrical Appliances and Materials Safety Act requiring the PSE or “DEN-AN” mark, by July 1, 2012. This is a mandatory, self-inspection, electrical safety labeling requirement. A range of conformity standards from JELMA (JEL), JET, J-IEC, UL Japan, and JIS will be required.

China, Taiwan, Hong Kong will also be introducing voluntary energy efficient labeling for SSL. The China program, announced early in 2011, is just becoming visible in retail. It is expected they will become prominent in 2012. China began voluntary certification program through China Quality Center (CQC) this year and it reveals the importance of certifications and labeling. Of the nearly 1000 applications made for CQC certification, only 20% passed. In street lighting systems, only 11% passed. Of those that passed, 90% were non-Chinese products manufactured by known international leaders. All those products that failed CQC are going to be sold somewhere. All those manufacturers who do not produce or use high-quality LEDs or know how to apply drivers and other systems to luminaires are going to be entering the global SSL market. Unless we want to dampen the market for LED-based lighting like we did with the introduction of CFLs—with poor quality, inconsistent specs, and poor certification programs that kill consumer confidence—we need to support effective, thoughtful, and meaningful certification and labeling programs. Next year will just be the beginning, more mature and effective market development and certification programs will be required support a growing SSL industry.